Obserwuj
Kai-hui Chang
Kai-hui Chang
Avery Design Systems
Zweryfikowany adres z avery-design.com - Strona główna
Tytuł
Cytowane przez
Cytowane przez
Rok
Fixing design errors with counterexamples and resynthesis
KH Chang, IL Markov, V Bertacco
Proceedings of the 2007 Asia and South Pacific Design Automation Conference …, 2007
1142007
Automating post-silicon debugging and repair
K Chang, IL Markov, V Bertacco
2007 IEEE/ACM International Conference on Computer-Aided Design, 91-98, 2007
1052007
Automatic Post-Silicon Debugging and Repair
K Chang, I Markov, V Bertacco
International Workshop on Logic Synthesis, 2007
1052007
Simulation-based bug trace minimization with BMC-based refinement
K Chang, V Bertacco, IL Markov
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2007
642007
Automatic error diagnosis and correction for RTL designs
K Chang, I Wagner, V Bertacco, IL Markov
High Level Design Validation and Test Workshop, 2007. HLVDT 2007. IEEE …, 2007
572007
Node Mergers in the Presence of Don't Cares
SM Plaza, K Chang, IL Markov, V Bertacco
2007 Asia and South Pacific Design Automation Conference, 414-419, 2007
522007
Reap what you sow: spare cells for post-silicon metal fix
K Chang, IL Markov, V Bertacco
Proceedings of the 2008 international symposium on Physical design, 103-110, 2008
312008
Post-placement rewiring and rebuffering by exhaustive search for functional symmetries
K Chang, IL Markov, V Bertacco
Proceedings of the 2005 IEEE/ACM International conference on Computer-aided …, 2005
272005
Parallel logic simulation: Myth or reality?
K Chang, C Browy
Computer 45 (4), 67-73, 2012
242012
Automatic error diagnosis and correction for RTL designs
KH Chang, I Wagner, I Markov, V Bertacco
US Patent 8,365,110, 2013
192013
Accurately handle don't-care conditions in high-level designs and application for reducing initialized registers
HZ Chou, KH Chang, SY Kuo
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
192010
Logic synthesis and circuit customization using extensive external don't-cares
KH Chang, V Bertacco, IL Markov, A Mishchenko
ACM Transactions on Design Automation of Electronic Systems (TODAES) 15 (3), 26, 2010
172010
Safe delay optimization for physical synthesis
K Chang, IL Markov, V Bertacco
Proceedings of the 2007 Asia and South Pacific Design Automation Conference …, 2007
172007
Postplacement rewiring by exhaustive search for functional symmetries
KH Chang, IL Markov, V Bertacco
ACM Transactions on Design Automation of Electronic Systems (TODAES) 12 (3), 32, 2007
132007
InVerS: an incremental verification system with circuit similarity metrics and error visualization
K Chang, DA Papa, IL Markov, V Bertacco
8th International Symposium on Quality Electronic Design (ISQED'07), 487-494, 2007
132007
A simulation-based temporal assertion checker for psl
KH Chang, WT Tu, YJ Yeh, SY Kuo
Proceedings of IEEE International Symposium on Micro-NanoMechatronics and …, 2003
132003
Finding reset nondeterminism in RTL designs: scalable X-analysis methodology and case study
HZ Chou, H Yu, KH Chang, D Dobbyn, SY Kuo
Proceedings of the Conference on Design, Automation and Test in Europe, 1494 …, 2010
122010
Handling don't-care conditions in high-level synthesis and application for reducing initialized registers
HZ Chou, KH Chang, SY Kuo
Proceedings of the 46th Annual Design Automation Conference, 412-415, 2009
112009
System and method for correcting gate-level simulation accuracy when unknowns exist
KH Chang, YT Liu, CS Browy, C Huang
US Patent 8,402,405, 2013
102013
Enhancing bug hunting using high-level symbolic simulation
HZ Chou, IH Lin, CS Yang, KH Chang, SY Kuo
Proceedings of the 19th ACM Great Lakes symposium on VLSI, 417-420, 2009
92009
Nie można teraz wykonać tej operacji. Spróbuj ponownie później.
Prace 1–20