Obserwuj
Seda Ogrenci
Tytuł
Cytowane przez
Cytowane przez
Rok
Instruction generation for hybrid reconfigurable systems
R Kastner, A Kaplan, SO Memik, E Bozorgzadeh
ACM Transactions on Design Automation of Electronic Systems (TODAES) 7 (4 …, 2002
2762002
Optimizing thermal sensor allocation for microprocessors
SO Memik, R Mukherjee, M Ni, J Long
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
1402008
Thermal monitoring mechanisms for chip multiprocessors
J Long, SO Memik, G Memik, R Mukherjee
ACM Transactions on Architecture and Code Optimization (TACO) 5 (2), 1-33, 2008
1232008
Machine learning-based temperature prediction for runtime thermal management across system components
K Zhang, A Guliani, S Ogrenci-Memik, G Memik, K Yoshii, R Sankaran, ...
IEEE Transactions on parallel and distributed systems 29 (2), 405-419, 2017
1152017
Systematic temperature sensor allocation and placement for microprocessors
R Mukherjee, SO Memik
Proceedings of the 43rd annual Design Automation Conference, 542-547, 2006
1092006
Temperature-aware resource allocation and binding in high-level synthesis
R Mukherjee, SO Memik, G Memik
Proceedings of the 42nd annual Design Automation Conference, 196-201, 2005
962005
RPack: routability-driven packing for cluster-based FPGAs
E Bozorgzadeh, S Ogrenci-Memik, M Sarrafzadeh
Proceedings of the 2001 Asia and South Pacific Design Automation Conference …, 2001
922001
Physical aware frequency selection for dynamic thermal management in multi-core systems
R Mukherjee, SO Memik
Proceedings of the 2006 IEEE/ACM International conference on Computer-aided …, 2006
852006
Hardware/software techniques for DRAM thermal management
S Liu, B Leung, A Neckar, SO Memik, G Memik, N Hardavellas
2011 IEEE 17th International Symposium on High Performance Computer …, 2011
702011
Routability-driven packing: Metrics and algorithms for cluster-based FPGAs
E Bozorgzadeh, SO Memik, X Yang, M Sarrafzadeh
Journal of Circuits, Systems, and Computers 13 (01), 77-100, 2004
622004
A super-scheduler for embedded reconfigurable systems
SO Memik, E Bozorgzadeh, R Kastner, M Sarrafzadeh
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE …, 2001
612001
Thermal sensor allocation and placement for reconfigurable systems
R Mukherjee, S Mondal, SO Memik
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
562006
Hierarchical spectrum sensing for cognitive radios
G Memik, SO Memik, B Mangione-Smith
US Patent 8,442,440, 2013
552013
Placement and floorplanning in dynamically reconfigurable FPGAs
A Montone, MD Santambrogio, D Sciuto, SO Memik
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 3 (4), 1-34, 2010
552010
EBOARST: An efficient edge-based obstacle-avoiding rectilinear Steiner tree construction algorithm
J Long, H Zhou, SO Memik
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
522008
User-specific skin temperature-aware DVFS for smartphones
B Egilmez, G Memik, S Ogrenci-Memik, O Ergin
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
502015
Accelerated SAT-based scheduling of control/data flow graphs
SO Memik, F Fallah
Proceedings. IEEE International Conference on Computer Design: VLSI in …, 2002
502002
Applications and techniques for fast machine learning in science
AMC Deiana, N Tran, J Agar, M Blott, G Di Guglielmo, J Duarte, P Harris, ...
Frontiers in big Data 5, 787421, 2022
472022
A reconfigurable neural network ASIC for detector front-end data compression at the HL-LHC
G Di Guglielmo, F Fahim, C Herwig, MB Valentin, J Duarte, C Gingu, ...
IEEE Transactions on Nuclear Science 68 (8), 2179-2186, 2021
472021
Global resource sharing for synthesis of control data flow graphs on FPGAs
SO Memik, G Memik, R Jafari, E Kursun
Proceedings of the 40th annual Design Automation Conference, 604-609, 2003
452003
Nie można teraz wykonać tej operacji. Spróbuj ponownie później.
Prace 1–20