Follow
Nazareno Bruschi
Nazareno Bruschi
Department of Electrical, Electronic and Information Engineering, University of Bologna
Verified email at unibo.it
Title
Cited by
Cited by
Year
Dory: Automatic end-to-end deployment of real-world dnns on low-cost iot mcus
A Burrello, A Garofalo, N Bruschi, G Tagliavini, D Rossi, F Conti
IEEE Transactions on Computers 70 (8), 1253-1268, 2021
1022021
GVSoC: a highly configurable, fast and accurate full-platform simulator for RISC-V based IoT processors
N Bruschi, G Haugou, G Tagliavini, F Conti, L Benini, D Rossi
2021 IEEE 39th International Conference on Computer Design (ICCD), 409-416, 2021
322021
Enabling mixed-precision quantized neural networks in extreme-edge devices
N Bruschi, A Garofalo, F Conti, G Tagliavini, D Rossi
Proceedings of the 17th ACM International Conference on Computing Frontiers …, 2020
202020
Scale up your in-memory accelerator: Leveraging wireless-on-chip communication for AIMC-based CNN inference
N Bruschi, G Tagliavini, F Conti, S Abadal, A Cabellos-Aparicio, E Alarcón, ...
2022 IEEE 4th International Conference on Artificial Intelligence Circuits …, 2022
52022
A 3 tops/w risc-v parallel cluster for inference of fine-grain mixed-precision quantized neural networks
A Nadalini, G Rutishauser, A Burrello, N Bruschi, A Garofalo, L Benini, ...
2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 1-6, 2023
32023
End-to-end DNN inference on a massively parallel analog in memory computing architecture
N Bruschi, G Tagliavini, A Garofalo, F Conti, I Boybat, L Benini, D Rossi
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2023
32023
PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge
V Jain, M Cavalcante, N Bruschi, M Rogenmoser, T Benz, A Kurth, ...
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
12023
11.3 Metis AIPU: A 12nm 15TOPS/W 209.6 TOPS SoC for Cost-and Energy-Efficient Inference at the Edge
PA Hager, B Moons, S Cosemans, IA Papistas, B Rooseleer, J Van Loon, ...
2024 IEEE International Solid-State Circuits Conference (ISSCC) 67, 212-214, 2024
2024
The system can't perform the operation now. Try again later.
Articles 1–8