Obserwuj
Amin Khajeh
Amin Khajeh
Senior Research Scientist at Intel Labs
Zweryfikowany adres z intel.com
Tytuł
Cytowane przez
Cytowane przez
Rok
Cross layer error exploitation for aggressive voltage scaling
AK Djahromi, AM Eltawil, FJ Kurdahi, R Kanj
8th International Symposium on Quality Electronic Design (ISQED'07), 192-197, 2007
592007
Low-power multimedia system design by aggressive voltage scaling
FJ Kurdahi, A Eltawil, K Yi, S Cheng, A Khajeh
IEEE transactions on very large scale integration (VLSI) systems 18 (5), 852-856, 2009
562009
E< MC2: less energy through multi-copy cache
A Chakraborty, H Homayoun, A Khajeh, N Dutt, A Eltawil, F Kurdahi
Proceedings of the 2010 international conference on Compilers, architectures …, 2010
502010
Cognitive radio rides on the cloud
F Ge, H Lin, A Khajeh, CJ Chiang, ME Ahmed, WB Charles, W Feng, ...
2010-MILCOM 2010 MILITARY COMMUNICATIONS CONFERENCE, 1448-1453, 2010
412010
A combined channel and hardware noise resilient Viterbi decoder
AMA Hussien, MS Khairy, A Khajeh, K Amiri, AM Eltawil, FJ Kurdahi
2010 Conference Record of the Forty Fourth Asilomar Conference on Signals …, 2010
322010
Power management for cognitive radio platforms
A Khajeh, SY Cheng, AM Eltawil, FJ Kurdahi
IEEE GLOBECOM 2007-IEEE Global Telecommunications Conference, 4066-4070, 2007
322007
Limits on voltage scaling for caches utilizing fault tolerant techniques
MA Makhzan, A Khajeh, A Eltawil, F Kurdahi
2007 25th International Conference on Computer Design, 488-495, 2007
292007
A low power JPEG2000 encoder with iterative and fault tolerant error concealment
MA Makhzan, A Khajeh, A Eltawil, FJ Kurdahi
IEEE Transactions on very large scale integration (VLSI) systems 17 (6), 827-837, 2009
282009
A unified hardware and channel noise model for communication systems
A Khajeh, K Amiri, MS Khairy, AM Eltawil, FJ Kurdahi
2010 IEEE Global Telecommunications Conference GLOBECOM 2010, 1-5, 2010
272010
Fast error aware model for arithmetic and logic circuits
S Zaynoun, MS Khairy, AM Eltawil, FJ Kurdahi, A Khajeh
2012 IEEE 30th international conference on computer design (ICCD), 322-328, 2012
232012
Enhancing power, performance, and energy efficiency in chip multiprocessors exploiting inverse thermal dependence
K Neshatpour, W Burleson, A Khajeh, H Homayoun
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (4), 778-791, 2018
182018
A class of low power error compensation iterative decoders
AMA Hussien, MS Khairy, A Khajeh, AM Eltawil, FJ Kurdahi
2011 IEEE Global Telecommunications Conference-GLOBECOM 2011, 1-6, 2011
182011
Equi-noise: A statistical model that combines embedded memory failures and channel noise
MS Khairy, A Khajeh, AM Eltawil, FJ Kurdahi
IEEE Transactions on Circuits and Systems I: Regular Papers 61 (2), 407-419, 2013
162013
Error-aware design
F Kurdahi, A Eltawil, AK Djahromi, M Makhzan, S Cheng
10th Euromicro Conference on Digital System Design Architectures, Methods …, 2007
162007
Reliability enhancement of low-power sequential circuits using reconfigurable pulsed latches
WM Elsharkasy, A Khajeh, AM Eltawil, FJ Kurdahi
IEEE Transactions on Circuits and Systems I: Regular Papers 64 (7), 1803-1814, 2017
142017
Embedded memories fault-tolerant pre-and post-silicon optimization
A Khajeh, AM Eltawil, FJ Kurdahi
IEEE transactions on very large scale integration (VLSI) systems 19 (10 …, 2010
132010
Multicopy cache: A highly energy-efficient cache architecture
A Chakraborty, H Homayoun, A Khajeh, N Dutt, A Eltawil, F Kurdahi
ACM Transactions on Embedded Computing Systems (TECS) 13 (5s), 1-27, 2014
112014
Exploiting fault tolerance towards power efficient wireless multimedia applications
AK Djahromi, AM Eltawil, FJ Kurdahi
2007 4th IEEE Consumer Communications and Networking Conference, 400-404, 2007
112007
FFT processing through faulty memories in OFDM based systems
MS Khairy, A Khajeh, AM Eltawil, FJ Kurdahi
2010 IEEE Globecom Workshops, 1946-1951, 2010
92010
Cross-layer co-exploration of exploiting error resilience for video over wireless applications
A Khajeh, M Kim, N Dutt, AM Eltawil, FJ Kurdahi
2008 IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia, 13-18, 2008
92008
Nie można teraz wykonać tej operacji. Spróbuj ponownie później.
Prace 1–20