Obserwuj
Shantanu Dutt
Shantanu Dutt
Professor of Electrical & Computer Engineering
Zweryfikowany adres z ece.uic.edu - Strona główna
Tytuł
Cytowane przez
Cytowane przez
Rok
VLSI circuit partitioning by cluster-removal using iterative improvement techniques
S Dutt, W Deng
Proceedings of International Conference on Computer Aided Design, 194-200, 1996
1871996
Methodologies for tolerating cell and interconnect faults in FPGAs
F Hanchek, S Dutt
IEEE Transactions on Computers 47 (1), 15-33, 1998
1551998
New faster kernighan-lin-type graph-partitioning algorithms
S Dutt
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD …, 1993
1531993
Designing fault-tolerant systems using automorphisms
S Dutt, JP Hayes
Journal of Parallel and Distributed Computing 12 (3), 249-268, 1991
1121991
Some practical issues in the design of fault-tolerant multiprocessors
S Dutt, JP Hayes
IEEE Transactions on Computers 41 (05), 588-598, 1992
1081992
Subcube allocation in hypercube computers
S Dutt, JP Hayes
IEEE Transactions on Computers 40 (03), 341-352, 1991
1051991
A probability-based approach to VLSI circuit partitioning
S Dutt, W Deng
Proceedings of the 33rd annual Design Automation Conference, 100-105, 1996
991996
On designing and reconfiguring k-fault-tolerant tree architectures
S Dutt, JP Hayes
IEEE Transactions on Computers 39 (4), 490-503, 1990
931990
Probability-based approaches to VLSI circuit partitioning
S Dutt, W Deng
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2000
702000
Node-covering based defect and fault tolerance methods for increased yield in FPGAs
F Hanchek, S Dutt
Proceedings of 9th International Conference on VLSI Design, 225-229, 1996
681996
Built-in-self-test of FPGAs with provable diagnosabilities and high diagnostic coverage with application to online testing
S Dutt, V Verma, V Suthar
IEEE Transactions on Computer-Aided Design of integrated circuits and …, 2008
662008
An automorphic approach to the design of fault-tolerant multiprocessors
S Dutt, JP Hayes
1989 The Nineteenth International Symposium on Fault-Tolerant Computing …, 1989
541989
Efficient incremental rerouting for fault reconfiguration in field programmable gate arrays
S Dutt, V Shanmugavel, S Trimberger
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of …, 1999
501999
On allocating subcubes in a hypercube multiprocessor
S Dutt, JP Hayes
Proceedings of the third conference on Hypercube concurrent computers and …, 1988
501988
Effective partition-driven placement with simultaneous level processing and global net views
K Zhong, S Dutt
IEEE/ACM International Conference on Computer Aided Design. ICCAD-2000. IEEE …, 2000
442000
Partitioning around roadblocks: Tackling constraints with intermediate relaxations
Dutt, Theny
1997 Proceedings of IEEE International Conference on Computer Aided Design …, 1997
371997
A network-flow based cell sizing algorithm
H Ren, S Dutt
structure 1 (N3), 2008
362008
Cluster-aware iterative improvement techniques for partitioning large VLSI circuits
S Dutt, W Deng
ACM Transactions on Design Automation of Electronic Systems (TODAES) 7 (1 …, 2002
352002
Design and reconfiguration strategies for near-optimal k-fault-tolerant tree architectures
S Dutt, JP Hayes
Twenty-Fifth International Symposium on Fault-Tolerant Computing, 1995 …, 1995
351995
Efficient network-flow based techniques for dynamic fault reconfiguration in FPGAs
NR Mahapatra, S Dutt
Digest of Papers. Twenty-Ninth Annual International Symposium on Fault …, 1999
341999
Nie można teraz wykonać tej operacji. Spróbuj ponownie później.
Prace 1–20