Obserwuj
Kyungsu Kang
Kyungsu Kang
Zweryfikowany adres z samsung.com
Tytuł
Cytowane przez
Cytowane przez
Rok
Runtime power management of 3-D multi-core architectures under peak power and temperature constraints
K Kang, J Kim, S Yoo, CM Kyung
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
382011
Temperature-aware integrated DVFS and power gating for executing tasks with runtime distribution
K Kang, J Kim, S Yoo, CM Kyung
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
312010
Runtime thermal management for 3-D chip-multiprocessors with hybrid SRAM/MRAM L2 cache
S Lee, K Kang, CM Kyung
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (3), 520-533, 2014
212014
Redelf: An energy-efficient deadlock-free routing for 3d nocs with partial vertical connections
J Lee, K Kang, K Choi
ACM Journal on Emerging Technologies in Computing Systems (JETC) 12 (3), 1-22, 2015
192015
Design and management of 3D-stacked NUCA cache for chip multiprocessors
J Jung, K Kang, CM Kyung
Proceedings of the 21st edition of the great lakes symposium on Great lakes …, 2011
172011
Thermal-aware energy minimization of 3D-stacked L3 cache with error rate limitation
W Yun, K Kang, CM Kyung
2011 IEEE International Symposium of Circuits and Systems (ISCAS), 1672-1675, 2011
162011
A high-throughput and low-latency interconnection network for multi-core clusters with 3-D stacked L2 tightly-coupled data memory
K Kang, L Benini, G De Micheli
2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip …, 2012
122012
Maximizing throughput of temperature-constrained multi-core systems with 3D-stacked cache memory
K Kang, J Jung, S Yoo, CM Kyung
2011 12th International Symposium on Quality Electronic Design, 1-6, 2011
122011
Accelerating RocksDB for small-zone ZNS SSDs by parallel I/O mechanism
M Im, K Kang, H Yeom
Proceedings of the 23rd International Middleware Conference Industrial Track …, 2022
102022
Temperature-aware runtime power management for chip-multiprocessors with 3-D stacked cache
K Kang, G De Micheli, S Lee, CM Kyung
Fifteenth International Symposium on Quality Electronic Design, 163-170, 2014
102014
THOR: Orchestrated thermal management of cores and networks in 3D many-core architectures
J Lee, J Ahn, K Choi, K Kang
The 20th Asia and South Pacific Design Automation Conference, 773-778, 2015
92015
Seamless SoC verification using virtual platforms: An industrial case study
K Kang, S Park, B Bae, J Choi, SG Lee, B Lee, JB Lee
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2019
82019
Search area selective reuse algorithm in motion estimation
H Shim, K Kang, CM Kyung
2007 IEEE International Conference on Multimedia and Expo, 1611-1614, 2007
82007
Temperature-aware energy minimization of 3D-stacked L2 DRAM cache through DVFS
W Yun, J Jung, K Kang, CM Kyung
2012 International SoC Design Conference (ISOCC), 475-478, 2012
72012
Software power estimation using ipi (inter-prefetch interval) power model for advanced off-the-shelf processor
K Kang, J Kim, H Shim, CM Kyung
Proceedings of the 17th ACM Great Lakes symposium on VLSI, 594-599, 2007
62007
Cost-effective design of mesh-of-tree interconnect for multicore clusters with 3-D stacked L2 scratchpad memory
K Kang, L Benini, G De Micheli
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (9 …, 2014
52014
Energy minimization of 3d cache-stacked processor based on thin-film thermoelectric coolers
S Rho, K Kang, CM Kyung
2011 IEEE 54th International Midwest Symposium on Circuits and Systems …, 2011
52011
Runtime 3-D stacked cache data management for energy minimization of 3-D chip-multiprocessors
S Lee, K Kang, J Jung, CM Kyung
Fifteenth International Symposium on Quality Electronic Design, 197-204, 2014
42014
Fast estimation of software energy consumption using IPI (Inter-Prefetch Interval) energy model
J Kim, K Kang, H Shim, W Hwangbo, CM Kyung
2007 IFIP International Conference on Very Large Scale Integration, 224-229, 2007
42007
Hybrid L2 NUCA design and management considering data access latency, energy efficiency, and storage lifetime
S Lee, K Kang, J Jung, CM Kyung
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (10 …, 2016
32016
Nie można teraz wykonać tej operacji. Spróbuj ponownie później.
Prace 1–20