Follow
Karthi Duraisamy
Karthi Duraisamy
R&D Engg, SrII at Synopsys Inc
Verified email at synopsys.com
Title
Cited by
Cited by
Year
On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems
W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, D Marculescu, ...
IEEE Transactions on Computers 67 (5), 672-686, 2018
882018
Multicast-aware high-performance wireless network-on-chip architectures
K Duraisamy, Y Xue, P Bogdan, PP Pande
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (3 …, 2016
632016
Hybrid Network-on-Chip Architectures for Accelerating Deep Learning Kernels on Heterogeneous Manycore Platforms
W Choi, K Duraisamy, RG Kim, JR Doppa, P Pratim
502016
Enhancing performance of wireless NoCs with distributed MAC protocols
K Duraisamy, RG Kim, PP Pande
Sixteenth International Symposium on Quality Electronic Design, 406-411, 2015
382015
High-Performance and Energy-Efficient Network-on-Chip Architectures for Graph Analytics
K Duraisamy, H Lu, PP Pande, A Kalyanaraman
ACM Transactions on Embedded Computing Systems (TECS) 15 (4), 66, 2016
242016
High performance collective communication-aware 3D network-on-chip architectures
BK Joardar, K Duraisamy, PP Pande
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2018
222018
Energy efficient MapReduce with VFI-enabled multicore platforms
K Duraisamy, RG Kim, W Choi, G Liu, PP Pande, R Marculescu, ...
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), 1-6, 2015
192015
Enabling high-performance SMART NoC architectures using on-chip wireless links
K Duraisamy, PP Pande
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (12 …, 2017
162017
A reconfigurable wireless NoC for large scale microbiome community analysis
X Li, K Duraisamy, J Baylon, T Majumder, G Wei, P Bogdan, D Heo, ...
IEEE Transactions on Computers 66 (10), 1653-1666, 2017
162017
Network-on-Chip-Enabled Multicore Platforms for Parallel Model Predictive Control
X Li, K Duraisamy, P Bogdan, T Majumder, PP Pande
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (9 …, 2016
162016
High performance and energy efficient wireless NoC-enabled multicore architectures for graph analytics
K Duraisamy, H Lu, PP Pande, A Kalyanaraman
Proceedings of the 2015 International Conference on Compilers, Architecture …, 2015
102015
Scalable Network-on-Chip Architectures for Brain–Machine Interface Applications
X Li, K Duraisamy, P Bogdan, JR Doppa, PP Pande
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (10 …, 2018
92018
WMRD net: An Optical Data Center Interconnect
K Duraisamy, G Das
Optical Fiber Communication Conference, OTu3H. 3, 2013
8*2013
Accelerating graph community detection with approximate updates via an energy-efficient NoC
K Duraisamy, H Lu, PP Pande, A Kalyanaraman
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC), 1-6, 2017
72017
Fast Uncovering of Graph Communities on a Chip: Toward Scalable Community Detection on Multicore and Manycore Platforms
A Kalyanaraman, M Halappanavar, D Chavarría-Miranda, H Lu, ...
Foundations and Trends® in Electronic Design Automation 10 (3), 145-247, 2016
72016
Performance evaluation and design trade-offs for wireless-enabled SMART NoC
K Duraisamy, PP Pande
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
52017
POST: a scalable optical data center network
K Duraisamy, BM Chetan, G Das
Photonic Network Communications 28 (2), 190-202, 2014
32014
POST: A scalable optical data center network
K Duraisamy, G Das
2013 IEEE International Conference onAdvanced Networks and Telecommuncations …, 2014
32014
Collective Communication-Aware High Performance Network-On-Chip Architectures for Big Data Processing
K Duraisamy
Washington State University, 2017
2017
The system can't perform the operation now. Try again later.
Articles 1–19